Added while loop test case

This commit is contained in:
Tristan B. Velloza Kildaire 2022-10-01 16:09:26 +02:00
parent 5444bd55e8
commit e810f762bc
1 changed files with 10 additions and 0 deletions

View File

@ -0,0 +1,10 @@
module simple_while;
int main()
{
int j = 0;
while(j < 10)
{
j = j + 1;
}
}